BRN Discussion Ongoing

From a quick skim I couldn't see that TSMC uses FDSOI tech? Happy to be corrected.

Maybe this is part of the GF approach by BRN...additional tech / flexibility options?

Bit about FDSOI.


Excerpt from mid 2022.

Where does CEA-Leti stand with regard to the European Chips Act?​

CEA-Leti supports the idea of creating three major drivers. One of the major drivers we have proposed would involve working on a technology that emerged in Europe, in our institute, FD-SOI. It is used to produce transistors, small switches that are indispensable to integrated electronic circuits and constituting the smallest processor value unit. Because it provides optimized energy consumption (with an energy saving of 30% compared to other technologies), FD-SOI is extremely interesting for 'embedded' markets, meaning electronics found in connected objects, autonomous cars, nomadic electronics, etc. Millions of connected loudspeakers or GPS microchips are now fitted with it. FD-SOI is also fueling smartphones, such as Google's latest pixel 6 Pro. Industrialized by STMicroelectronics, it is also sold by big companies such as Samsung and GlobalFoundries. FD-SOI is currently produced in 28 nm and 22 nm and will soon be available in 18 nm. To further improve the performance and energy efficiency of FD-SOI, the goal is now to move toward new electronic engineering technology, with ever-smaller 10-nanometer nodes that will meet low consumption market needs in 5 to 7 years. This miniaturization involves a major technological leap. Secondly (by 2026–2030), we will be focusing on GAA technology (Gate-All-Around, including a gate around the conduction channel) with nodes typically around 5 nm. One could say we've invented this technology (first publications in 2006 and first patent filed by CEA-Leti), which will constitute a breakthrough. The second major driver, supported by Imec, the Flemish Interuniversity Microelectronics Center, will be devoted to advanced generation FinFET chips — with nodes equal or less than 2 nm — which use the most advanced lithography techniques (Extreme UV) with equipment that will later be produced by Dutch world leader ASML. The third major driver, generated by the Fraunhofer Institute, in Germany, involves assembly and packaging, which will bring challenges in years to come.

Naturally, we will all need to work together, and we are in regular contact with both Imec and the Fraunhofer.


Also worth a skim through from a few years back.

 
  • Like
  • Fire
Reactions: 16 users

BaconLover

Founding Member
Brainchippers after every 4 C :ROFLMAO: :ROFLMAO: :ROFLMAO: :love:




Take it easy guys. Have a great evening.
 
  • Haha
  • Like
Reactions: 28 users

FJ-215

Regular
Hi all,

Happy enough with the numbers in the 4C. Without any new deals being announced, it was never going to be Earth shattering.

As for the rest, not so much. Yes, I did read Tony's response and I thank him for it. 10 out of 10 for style. Guess we will just have to agree to disagree.

We had a market sensitive announcement for the call on LDA to raise at least $15M with some of the proceeds to go towards taping out our next chip. Surely not a crime to inform the market that you have done just that. If the BoD are scared of up-ramping then just use the same wording from the 4C. That just bored the pants off the market.

Maybe we need Tony to write the next one, the man knows how to use words.

And only because we are raising capital, yes, the SP matters
 
  • Like
  • Fire
  • Love
Reactions: 22 users

Townyj

Ermahgerd
From a quick skim I couldn't see that TSMC uses FDSOI tech? Happy to be corrected.

Maybe this is part of the GF approach by BRN...additional tech / flexibility options?

Bit about FDSOI.


Excerpt from mid 2022.

Where does CEA-Leti stand with regard to the European Chips Act?​

CEA-Leti supports the idea of creating three major drivers. One of the major drivers we have proposed would involve working on a technology that emerged in Europe, in our institute, FD-SOI. It is used to produce transistors, small switches that are indispensable to integrated electronic circuits and constituting the smallest processor value unit. Because it provides optimized energy consumption (with an energy saving of 30% compared to other technologies), FD-SOI is extremely interesting for 'embedded' markets, meaning electronics found in connected objects, autonomous cars, nomadic electronics, etc. Millions of connected loudspeakers or GPS microchips are now fitted with it. FD-SOI is also fueling smartphones, such as Google's latest pixel 6 Pro. Industrialized by STMicroelectronics, it is also sold by big companies such as Samsung and GlobalFoundries. FD-SOI is currently produced in 28 nm and 22 nm and will soon be available in 18 nm. To further improve the performance and energy efficiency of FD-SOI, the goal is now to move toward new electronic engineering technology, with ever-smaller 10-nanometer nodes that will meet low consumption market needs in 5 to 7 years. This miniaturization involves a major technological leap. Secondly (by 2026–2030), we will be focusing on GAA technology (Gate-All-Around, including a gate around the conduction channel) with nodes typically around 5 nm. One could say we've invented this technology (first publications in 2006 and first patent filed by CEA-Leti), which will constitute a breakthrough. The second major driver, supported by Imec, the Flemish Interuniversity Microelectronics Center, will be devoted to advanced generation FinFET chips — with nodes equal or less than 2 nm — which use the most advanced lithography techniques (Extreme UV) with equipment that will later be produced by Dutch world leader ASML. The third major driver, generated by the Fraunhofer Institute, in Germany, involves assembly and packaging, which will bring challenges in years to come.

Naturally, we will all need to work together, and we are in regular contact with both Imec and the Fraunhofer.


Also worth a skim through from a few years back.


I reaaaaally like this part..

This Part.jpg
 
  • Like
  • Fire
  • Love
Reactions: 22 users

FJ-215

Regular
There hasn't been much talk about some of the finer points.
One I particularly like,
We expect our next

reference chips (plural) to be delivered in Q2 of this year.
I had to read that a couple of times too. I believe they meant.......

BRN have released the design to Global Foundries and expect they will have the chips manufactured and returned to BRN in the 2nd quarter.
 
  • Like
  • Love
Reactions: 10 users
  • Like
  • Fire
  • Love
Reactions: 19 users

Townyj

Ermahgerd
Yep.

It does spark the question of whether the FDSOI choice was in part driven by potential / existing clients requesting same?

Exactly my thoughts.. Curious to see and hear more about it. Seems like more tetris blocks are falling into place. Slowly but Surely.
 
  • Like
  • Fire
  • Love
Reactions: 21 users
Exactly my thoughts.. Curious to see and hear more about it. Seems like more tetris blocks are falling into place. Slowly but Surely.
Definitely prefer it to be like Tetris and not Jenga :ROFLMAO:
 
  • Haha
Reactions: 9 users

wilzy123

Founding Member
Yep.

It does spark the question of whether the FDSOI choice was in part driven by potential / existing clients requesting same?
Exactly my thoughts.. Curious to see and hear more about it. Seems like more tetris blocks are falling into place. Slowly but Surely.
Thank you, for not posting cooked diatribe. Forum has really gone downhill last few days.
 
  • Like
  • Fire
Reactions: 5 users
Thank you, for not posting cooked diatribe. Forum has really gone downhill last few days.
Haha

I got plenty of that but figured not worth the fallout :ROFLMAO:
 
  • Like
  • Love
Reactions: 8 users

Dozzaman1977

Regular
Hi MCM,

You seem disappointed by this? While I can understand that some don't like the companies choices on this, and even comparing them to WBT..... I am happy that the management are treating this company as an ASX 200 company where they don't feel the need to "ramp" it up with fluff and feed the traders and shorters of the markets.
So here is a thought, concern for those comparing WBT with BRN. How long before the shorters and manipulators realize that BRN already have a product and this 4c shows growth. Not just them but also investors that start to see BRN through different googles? Well we know that many of the shorters have already made quite the pretty penny on this "meem :)" stock and sooner or later they are going to have to buy back many many millions of shares, before their profits disappear in an instant something big appears!
So they cash in and they are cashed up where do they look for their next big short? Well they may just look at another tech stock that does like to throw around ASX announcements like they are free and a marketing tool. WBT? Lets have a quick look how close WBT are coming to BRN in regards to MC, shall we?

View attachment 28254
In the big picture, there really isn't a huge gap in the 2 companies MC. However we are a Meem stock? We are the company that gets all the MF articles etc etc. Why? Because the ASX is a corrupt wasteland? Hey I'm not saying they are, just posing a question? I know if I shorted stocks, I believe one of these companies would be riper for the picking than the another. One ramps every chance they get, the other has already been shorter to hell. Short term ( 2 years) who are the more likely to deserve a $2-5 billion MC? Will WBT even have sales by then?

So yes we can compare in many ways, but I'm more than happy with the team at BRN. They are calm on the surface but what we don't see is what's happening under the calmness, but we are starting to see. IMO of course. I'm giddy.
View attachment 28253



disclaimer, I had more than $1.2 Million in my super just in BRN, it's now under $350k, so I know the pain of loss, while I'm still well in the green, it doesn't necessarily hurt less, but I'm still gidddy :) (sorry to those holding WBT, just an example that others were using as a comparison.)
I'd vote this message as one of the best I've seen in a while.
It's interesting that a certain tool is writing articles about brainchip being a meme stock........ Isn't TESLA the biggest meme stock in the world, how have they performed in the last 5 years as a company and share price , let's us (BRN) be the next Tesla (successful Tech giant)
Season 10 Story GIF by Curb Your Enthusiasm
 
  • Like
  • Love
  • Fire
Reactions: 11 users
Know my last couple of posts banging on about FDSOI but I'm curious to understand the shift by BRN for AKD1500.

As suggested prev, possible input from potential / existing clients as an option / POC?

Another random thought....does 1500 use a little more power and as such shifting to FDSOI assists keeping low power as it's less hungry?

One other after reading the below, is if it's also strategic. With GF coming online in France in a couple years it gives Euro clients the capability / option of using them locally from a supply chain view increasing Akida access / footprint?


August 1, 2022

STMicroelectronics and GlobalFoundries Jointly Press on with FD-SOI in a New Fab Near Grenoble​


by Steven Leibson
STMicroelectronics (ST) and GlobalFoundries (GF) have just signed a memorandum of understanding to create a new, jointly operated 300mm semiconductor fab to be located alongside ST’s existing fab in Crolles, France. The new fab will support multiple semiconductor technologies and process nodes, including FD-SOI (fully depleted silicon on insulator). ST and GF expect that the fab will start producing chips in 2024 and will ramp to full capacity, producing as many as 620,000 300mm wafers per year, by 2026.
The Grenoble area in southeastern France, not far from the Italian border, has long been a hotbed of FD-SOI development. In many ways, FD-SOI is a lower-tech approach to realizing some of the benefits of FinFETs and gate-all-around FETs (GAAFETs) – including higher speed, lower power consumption, and less parametric variation on a chip from transistor to transistor thanks to the conduction channel being fully depleted (undoped). Less on-chip parametric variation means that IC designers can reduce the amount of design margin needed – for both supply voltage and timing – which improves the chip’s speed and lowers its power consumption by lowering the required operating voltage.
Dr. Chenming Hu and his team at the University of California, Berkeley, developed FinFETs way back in 1999 under a DARPA contract. DARPA cut that contract because it was clear that planar FETs would eventually run out of gas after their half-century run as they were scaled smaller and smaller. DARPA sought an alternative.
Small planar FETs suffer from short-channel leakage, which prevents the FET from turning off completely. The advantage that FinFETs have over planar FETs is that the FinFET architecture constructs a gate around three of the FET channel’s four sides. This arrangement allows the electric field from the gate to penetrate more deeply into the FET’s conduction channel, which reduces short-channel leakage and allows better control over the current through the channel.
Short-channel leakage increased in magnitude as planar FET dimensions shrunk, causing power consumption and heat-dissipation challenges to grow and forcing semiconductor makers to adopt FinFETs. Intel was the first commercial semiconductor company to adopt FinFETs for its 22nm process node back in 2011, more than a decade after FinFETs were first developed. FinFETs are currently used almost universally for chips made with 20nm process nodes or newer.
However, FinFETs are now running out of gas. Driving three sides of the FinFET transistor’s gate no longer achieves the desired speeds and low leakage currents. We must now drive all four sides of the FET gate to get a well-behaved transistor. Enter GAAFETs, which are already in production at Samsung’s fabs in that company’s 3nm process node. Intel and TSMC will also be using GAAFETs for their Intel 20A and N2 2nm nodes respectively. Samsung calls its GAAFETs “Multi-Bridge-Channel FETs” (MBCFETs); Intel calls them “RibbonFETs”; and TSMC calls them nanosheet GAAFETs. (See “Intel Welcomes You to the Angstrom Era” and “Moore’s Law: Not Dead Yet? Nope, Says Intel:, Behold Intel 4… and 3.”)
Like FinFETs, GAAFETs are 3D structures. Instead of fins, GAAFET conduction channels are built with undoped silicon nanowires, nanosheets, or ribbons that are so thin that they are essentially 2D structures, made with advanced process technologies such as atomic layer deposition (ALD). These nanoscale conduction channels are entirely encapsulated by the GAAFET’s gate structure.
Planar-vs-FD-SOI-FETs.jpg

The ultra-thin conduction channel of an FD-SOI FET does not suffer from the same leakage effects as a planar FET made in bulk silicon. Image credit: STMicroelectronics.
FD-SOI FETs have what is essentially a 2D conduction channel, created by bonding or otherwise applying a very thin, extremely uniform layer of silicon on top of a thin, grown silicon dioxide insulation layer. If the FD-SOI FET’s conduction channel is thin enough, the electric field from the transistor gate sitting on top of the conduction channel fully penetrates the channel, thus eliminating the need for a gate structure that surrounds the channel on all four sides, as is done with GAAFETs.
Except for the FD-SOI substrate, FD-SOI FETs are made in a similar manner and with the same equipment used to make planar FETs. The GAA structure is more complex and requires the use of expensive EUV lithography to create the small structures required. Like FinFETs and GAAFETs, FD-SOI FETs do not suffer from short-channel leakage problems, and they don’t require EUV lithography as do GAAFETs. So, you might call FD-SOI transistors “gate-almost-all-around FETs” (GAAAFETs). You might, but no one does.
By an interesting coincidence, the same UC Berkeley team – led by Dr. Chenming Hu – that developed the FinFET under a DARPA contract in 1999, simultaneously developed something very much akin to the FD-SOI FET architecture under the very same DARPA contract. Hu dubbed this second FET structure UTBSOI (Ultra Thin Body Silicon on Insulator).
FinFETs, GAAFETs, and UTBSOI FETs offer many benefits over planar MOSFETs:
  • Better signal swing
  • Less sensitivity to gate length and drain voltage
  • No random dopant fluctuations because the FinFETs fins, the nanostructured conduction channels in GAAFETs, and the thin conduction channel in UTBSOI/FD-SOI FETs are all fully depleted – they’re not doped
  • Higher on current with lower leakage
  • Lower Vdd and lower leakage, therefore less power consumption
There are at least two important differences between FinFETs or GAAFETs and UTBSOI/FD-SOI FETs. First, it’s relatively easy to add back biasing beneath the channel of an FD-SOI FET, a capability that’s enabled by the bulk silicon substrate under the thin insulating layer on the wafer. Back biasing allows you to play with FET threshold voltages and to tweak performance and power consumption. If you decide to get really fancy, you can tweak individual FETs on the chip by using the silicon under each transistor as a second gate. There’s no easy way to add back biasing to FinFETs or GAAFETs. Second, you don’t get smaller transistors with FD-SOI relative to FinFETs or GAAFETs, so you don’t achieve the same transistor densities. That’s the flip side of not requiring expensive EUV lithography.
FD-SOI processing is still more expensive than conventional planar IC processing because it requires special FD-SOI wafers. It’s just not as expensive as the EUV lithography and other 3D processing techniques needed to make small FinFETs and GAAFETs. Conventional IC manufacturing uses bulk silicon wafers, which are much less expensive than FD-SOI wafers. The major supplier of FD-SOI wafers is Soitec in Bernin, which is literally just down the street from the STMicroelectronics Crolles fab complex north of Grenoble.
By coincidence, Soitec, GF, and ST, along with CEA (the French Alternative Energies and Atomic Energy Commission), announced a collaborative agreement earlier this year to jointly define the industry’s next generation roadmap for FD-SOI. In that announcement, CEA Chairman François Jacq said, “CEA has… a long history of deep R&D cooperation with… STMicroelectronics, Soitec and GlobalFoundries and has been very active in the initiatives led by the European Commission and Member States aiming to set up a complete ecosystem for FD-SOI going from material suppliers, design houses, EDA tools providers, fabless companies, and end users.” These are the elements that constitute an FD-SOI “platform.”
July’s ST/GF FD-SOI memorandum announcement also says, “ST and GF will receive significant financial support from the State of France for the new facility. This facility will strongly contribute to the objectives of the European Chips Act, including the goal of Europe reaching 20% of worldwide semiconductor production by 2030.” This statement was a European poke in the eye for the US government, which appeared to be dragging its heels on the country’s own CHIPS Act, much to the chagrin of companies such as Intel and TSMC. However, the US Senate and House of Representatives finally passed the bill last week and US President Joe Biden is expected to sign it into law this week.
It appears that France is going big on FD-SOI, which is not a bad strategy if you don’t plan to buy a $150 million EUV stepper or the next-generation $300 million “High NA” EUV stepper from ASML. FD-SOI confers many of the FinFET’s and GAAFET’s advantages on a far less expensive process node. GF already offers two FD-SOI process nodes or platforms called RF SOI and 22nm FDX22. (See “GlobalFoundries Chases Down a Different Semiconductor Rabbit Hole.”) In May, GF announced an RF meta platform called GF Connex that rolls together elements of the company’s RF SOI, FDX, SiGe, and FinFET semiconductor platforms to meet the varied communications needs of smart mobile and IoT devices and communications infrastructure equipment.
For its part, ST currently offers a 28nm FD-SOI process/platform. The 28nm node is currently the most cost-efficient process node in the industry, so there are a lot of economic benefits to staying with this node. However, technology inevitably marches on, and the Crolles joint announcement mentions an 18nm ST process technology. This appears to be the same 18nm FD-SOI process technology with embedded PCM (nonvolatile phase-change memory) that Orio Bellezza – President, Technology, Manufacturing, Quality and Supply Chain at ST – discussed during his STMicroelectronics Capital Markets Day presentation titled “Technology and Manufacturing” in May of this year.
The joint announcements by ST, GF, and CEA bolster FD-SOI’s position as a viable alternative to GAAFETs for the next few decades in specific applications, including applications in the automotive, IoT, and mobile markets. For these applications, it’s not the sheer number of transistors that’s important; it’s what those transistors can do.
For more information about the various semiconductor foundry platforms GF currently offers including the 22nm FD-SOI platform called FDX, see “GlobalFoundries Chases Down a Different Semiconductor Rabbit Hole.”
 
  • Like
  • Fire
  • Love
Reactions: 22 users

LexLuther77

Regular
Thanks wilzy. Yep it’s there but could also do it as a seperate announcement just like when Akida 1000 was done (my recall). Different ways to communicate good news etc and why have to read through a 8 page report. WBT consider tape outs as a seperate announcement (milestone) just so investors don’t miss it. All good, just different from my point of view only.
100% agree Pope 👍🏻
 
  • Like
Reactions: 6 users

Diogenese

Top 20
Know my last couple of posts banging on about FDSOI but I'm curious to understand the shift by BRN for AKD1500.

As suggested prev, possible input from potential / existing clients as an option / POC?

Another random thought....does 1500 use a little more power and as such shifting to FDSOI assists keeping low power as it's less hungry?

One other after reading the below, is if it's also strategic. With GF coming online in France in a couple years it gives Euro clients the capability / option of using them locally from a supply chain view increasing Akida access / footprint?


August 1, 2022

STMicroelectronics and GlobalFoundries Jointly Press on with FD-SOI in a New Fab Near Grenoble​


by Steven Leibson
STMicroelectronics (ST) and GlobalFoundries (GF) have just signed a memorandum of understanding to create a new, jointly operated 300mm semiconductor fab to be located alongside ST’s existing fab in Crolles, France. The new fab will support multiple semiconductor technologies and process nodes, including FD-SOI (fully depleted silicon on insulator). ST and GF expect that the fab will start producing chips in 2024 and will ramp to full capacity, producing as many as 620,000 300mm wafers per year, by 2026.
The Grenoble area in southeastern France, not far from the Italian border, has long been a hotbed of FD-SOI development. In many ways, FD-SOI is a lower-tech approach to realizing some of the benefits of FinFETs and gate-all-around FETs (GAAFETs) – including higher speed, lower power consumption, and less parametric variation on a chip from transistor to transistor thanks to the conduction channel being fully depleted (undoped). Less on-chip parametric variation means that IC designers can reduce the amount of design margin needed – for both supply voltage and timing – which improves the chip’s speed and lowers its power consumption by lowering the required operating voltage.
Dr. Chenming Hu and his team at the University of California, Berkeley, developed FinFETs way back in 1999 under a DARPA contract. DARPA cut that contract because it was clear that planar FETs would eventually run out of gas after their half-century run as they were scaled smaller and smaller. DARPA sought an alternative.
Small planar FETs suffer from short-channel leakage, which prevents the FET from turning off completely. The advantage that FinFETs have over planar FETs is that the FinFET architecture constructs a gate around three of the FET channel’s four sides. This arrangement allows the electric field from the gate to penetrate more deeply into the FET’s conduction channel, which reduces short-channel leakage and allows better control over the current through the channel.
Short-channel leakage increased in magnitude as planar FET dimensions shrunk, causing power consumption and heat-dissipation challenges to grow and forcing semiconductor makers to adopt FinFETs. Intel was the first commercial semiconductor company to adopt FinFETs for its 22nm process node back in 2011, more than a decade after FinFETs were first developed. FinFETs are currently used almost universally for chips made with 20nm process nodes or newer.
However, FinFETs are now running out of gas. Driving three sides of the FinFET transistor’s gate no longer achieves the desired speeds and low leakage currents. We must now drive all four sides of the FET gate to get a well-behaved transistor. Enter GAAFETs, which are already in production at Samsung’s fabs in that company’s 3nm process node. Intel and TSMC will also be using GAAFETs for their Intel 20A and N2 2nm nodes respectively. Samsung calls its GAAFETs “Multi-Bridge-Channel FETs” (MBCFETs); Intel calls them “RibbonFETs”; and TSMC calls them nanosheet GAAFETs. (See “Intel Welcomes You to the Angstrom Era” and “Moore’s Law: Not Dead Yet? Nope, Says Intel:, Behold Intel 4… and 3.”)
Like FinFETs, GAAFETs are 3D structures. Instead of fins, GAAFET conduction channels are built with undoped silicon nanowires, nanosheets, or ribbons that are so thin that they are essentially 2D structures, made with advanced process technologies such as atomic layer deposition (ALD). These nanoscale conduction channels are entirely encapsulated by the GAAFET’s gate structure.
Planar-vs-FD-SOI-FETs.jpg

The ultra-thin conduction channel of an FD-SOI FET does not suffer from the same leakage effects as a planar FET made in bulk silicon. Image credit: STMicroelectronics.
FD-SOI FETs have what is essentially a 2D conduction channel, created by bonding or otherwise applying a very thin, extremely uniform layer of silicon on top of a thin, grown silicon dioxide insulation layer. If the FD-SOI FET’s conduction channel is thin enough, the electric field from the transistor gate sitting on top of the conduction channel fully penetrates the channel, thus eliminating the need for a gate structure that surrounds the channel on all four sides, as is done with GAAFETs.
Except for the FD-SOI substrate, FD-SOI FETs are made in a similar manner and with the same equipment used to make planar FETs. The GAA structure is more complex and requires the use of expensive EUV lithography to create the small structures required. Like FinFETs and GAAFETs, FD-SOI FETs do not suffer from short-channel leakage problems, and they don’t require EUV lithography as do GAAFETs. So, you might call FD-SOI transistors “gate-almost-all-around FETs” (GAAAFETs). You might, but no one does.
By an interesting coincidence, the same UC Berkeley team – led by Dr. Chenming Hu – that developed the FinFET under a DARPA contract in 1999, simultaneously developed something very much akin to the FD-SOI FET architecture under the very same DARPA contract. Hu dubbed this second FET structure UTBSOI (Ultra Thin Body Silicon on Insulator).
FinFETs, GAAFETs, and UTBSOI FETs offer many benefits over planar MOSFETs:
  • Better signal swing
  • Less sensitivity to gate length and drain voltage
  • No random dopant fluctuations because the FinFETs fins, the nanostructured conduction channels in GAAFETs, and the thin conduction channel in UTBSOI/FD-SOI FETs are all fully depleted – they’re not doped
  • Higher on current with lower leakage
  • Lower Vdd and lower leakage, therefore less power consumption
There are at least two important differences between FinFETs or GAAFETs and UTBSOI/FD-SOI FETs. First, it’s relatively easy to add back biasing beneath the channel of an FD-SOI FET, a capability that’s enabled by the bulk silicon substrate under the thin insulating layer on the wafer. Back biasing allows you to play with FET threshold voltages and to tweak performance and power consumption. If you decide to get really fancy, you can tweak individual FETs on the chip by using the silicon under each transistor as a second gate. There’s no easy way to add back biasing to FinFETs or GAAFETs. Second, you don’t get smaller transistors with FD-SOI relative to FinFETs or GAAFETs, so you don’t achieve the same transistor densities. That’s the flip side of not requiring expensive EUV lithography.
FD-SOI processing is still more expensive than conventional planar IC processing because it requires special FD-SOI wafers. It’s just not as expensive as the EUV lithography and other 3D processing techniques needed to make small FinFETs and GAAFETs. Conventional IC manufacturing uses bulk silicon wafers, which are much less expensive than FD-SOI wafers. The major supplier of FD-SOI wafers is Soitec in Bernin, which is literally just down the street from the STMicroelectronics Crolles fab complex north of Grenoble.
By coincidence, Soitec, GF, and ST, along with CEA (the French Alternative Energies and Atomic Energy Commission), announced a collaborative agreement earlier this year to jointly define the industry’s next generation roadmap for FD-SOI. In that announcement, CEA Chairman François Jacq said, “CEA has… a long history of deep R&D cooperation with… STMicroelectronics, Soitec and GlobalFoundries and has been very active in the initiatives led by the European Commission and Member States aiming to set up a complete ecosystem for FD-SOI going from material suppliers, design houses, EDA tools providers, fabless companies, and end users.” These are the elements that constitute an FD-SOI “platform.”
July’s ST/GF FD-SOI memorandum announcement also says, “ST and GF will receive significant financial support from the State of France for the new facility. This facility will strongly contribute to the objectives of the European Chips Act, including the goal of Europe reaching 20% of worldwide semiconductor production by 2030.” This statement was a European poke in the eye for the US government, which appeared to be dragging its heels on the country’s own CHIPS Act, much to the chagrin of companies such as Intel and TSMC. However, the US Senate and House of Representatives finally passed the bill last week and US President Joe Biden is expected to sign it into law this week.
It appears that France is going big on FD-SOI, which is not a bad strategy if you don’t plan to buy a $150 million EUV stepper or the next-generation $300 million “High NA” EUV stepper from ASML. FD-SOI confers many of the FinFET’s and GAAFET’s advantages on a far less expensive process node. GF already offers two FD-SOI process nodes or platforms called RF SOI and 22nm FDX22. (See “GlobalFoundries Chases Down a Different Semiconductor Rabbit Hole.”) In May, GF announced an RF meta platform called GF Connex that rolls together elements of the company’s RF SOI, FDX, SiGe, and FinFET semiconductor platforms to meet the varied communications needs of smart mobile and IoT devices and communications infrastructure equipment.
For its part, ST currently offers a 28nm FD-SOI process/platform. The 28nm node is currently the most cost-efficient process node in the industry, so there are a lot of economic benefits to staying with this node. However, technology inevitably marches on, and the Crolles joint announcement mentions an 18nm ST process technology. This appears to be the same 18nm FD-SOI process technology with embedded PCM (nonvolatile phase-change memory) that Orio Bellezza – President, Technology, Manufacturing, Quality and Supply Chain at ST – discussed during his STMicroelectronics Capital Markets Day presentation titled “Technology and Manufacturing” in May of this year.
The joint announcements by ST, GF, and CEA bolster FD-SOI’s position as a viable alternative to GAAFETs for the next few decades in specific applications, including applications in the automotive, IoT, and mobile markets. For these applications, it’s not the sheer number of transistors that’s important; it’s what those transistors can do.
For more information about the various semiconductor foundry platforms GF currently offers including the 22nm FD-SOI platform called FDX, see “GlobalFoundries Chases Down a Different Semiconductor Rabbit Hole.”
Hi fmf,

Re your random musings about 1500 using more power.

My guess is that LSTM requires extra memory and logic. Extra memory because of more stored data - more data means more data movements, so I'm inclined to agree that 1500 would use more power than Akida 1000.

However, compared to any other arrangement with equivalent capabilities, 1500 would win hands down.

The article @Townyj posted above discusses using FD-SOI in automotive applications.

We've also seen reference to DoD involvement with GlobalFoundries, possibly driven by US's need to disengage with China and build in-house tech, and somewhere nearby is a reference to FinFETs radiation robustness.

So there are several potential drives (NASA comes to mind), more than mentioned here, all of which could have played a part in BrainChip selecting GF, but I would think the military-industrial complex is a significant one.

I reaaaaally like this part..

View attachment 28258
 
  • Like
  • Fire
  • Love
Reactions: 36 users

Taproot

Regular
From a quick skim I couldn't see that TSMC uses FDSOI tech? Happy to be corrected.

Maybe this is part of the GF approach by BRN...additional tech / flexibility options?

Bit about FDSOI.


Excerpt from mid 2022.

Where does CEA-Leti stand with regard to the European Chips Act?​

CEA-Leti supports the idea of creating three major drivers. One of the major drivers we have proposed would involve working on a technology that emerged in Europe, in our institute, FD-SOI. It is used to produce transistors, small switches that are indispensable to integrated electronic circuits and constituting the smallest processor value unit. Because it provides optimized energy consumption (with an energy saving of 30% compared to other technologies), FD-SOI is extremely interesting for 'embedded' markets, meaning electronics found in connected objects, autonomous cars, nomadic electronics, etc. Millions of connected loudspeakers or GPS microchips are now fitted with it. FD-SOI is also fueling smartphones, such as Google's latest pixel 6 Pro. Industrialized by STMicroelectronics, it is also sold by big companies such as Samsung and GlobalFoundries. FD-SOI is currently produced in 28 nm and 22 nm and will soon be available in 18 nm. To further improve the performance and energy efficiency of FD-SOI, the goal is now to move toward new electronic engineering technology, with ever-smaller 10-nanometer nodes that will meet low consumption market needs in 5 to 7 years. This miniaturization involves a major technological leap. Secondly (by 2026–2030), we will be focusing on GAA technology (Gate-All-Around, including a gate around the conduction channel) with nodes typically around 5 nm. One could say we've invented this technology (first publications in 2006 and first patent filed by CEA-Leti), which will constitute a breakthrough. The second major driver, supported by Imec, the Flemish Interuniversity Microelectronics Center, will be devoted to advanced generation FinFET chips — with nodes equal or less than 2 nm — which use the most advanced lithography techniques (Extreme UV) with equipment that will later be produced by Dutch world leader ASML. The third major driver, generated by the Fraunhofer Institute, in Germany, involves assembly and packaging, which will bring challenges in years to come.

Naturally, we will all need to work together, and we are in regular contact with both Imec and the Fraunhofer.


Also worth a skim through from a few years back.

Foundries Prepare For Battle At 22nm​

from 2018

But choosing one 22nm technology from a given foundry may be far different than 22nm at a different foundry. There are three different versions of 22nm being rolled out by different foundries:

  • TSMC and UMC are developing a 22nm planar bulk CMOS process.
  • GlobalFoundries is gearing up a 22nm planar FD-SOI technology.
  • Intel is pushing a low-power 22nm finFET technology.

This article may answer a few questions in the the context of today's press release.

"The AKD1500 reference chip using GlobalFoundries’ very low-leakage FD SOI platform, showcases the possibilities for intelligent sensors in edge AI.” Anil Mankar
 
  • Like
  • Fire
  • Love
Reactions: 31 users

Newk R

Regular
If the SP is influenced by cold hard cash influxes then it will shape my investment strategy. If the SP is influenced by the date of a tape out then it will not reflect in m y strategy. Simples. So give me solid revenue figures and I know I'm onto something.
 
  • Like
Reactions: 6 users

GazDix

Regular
Thank you so much @BienSuerte for sharing Tony's reply.
In a seperate discussion I had with @BaconLover earlier I also remembered Akida 1000 having a seperate announcement, so you are spot on @The Pope.
The precedent for reporting has changed under our new CEO as Tony has hinted. I would also predict that Ken Scarince had input too about the change after all the crap after speeding tickets, the patents that were late (but in a different time zone) and Brainchip obviously being targeted by the AFR, HC just as our new CEO joined us in November 2021.

Not only does WBT announce taping/successful fabs etc. but many other companies thrive on this like AXE (Archer Materials) and 4DS who only update tech improvements. So Brainchip can easily file these announcements with the ASX. There is being careful, but then being beyond paranoid (or is it strategic...) that we have stopped announcing anything that won't directly involve a revenue stream.

If I was paranoid, after being targeted by the ASX and the mainstream financial media in Australia who are not tech-savvy, or really paranoid that they know we will be gigantic like the next BHP division Blue Chip on the ASX and 'they' will gather all forces to collect shares and disrupt the leadership of my company, I would also be proper careful and conservative and take a very cautious approach.

Anyway, price is price. Business is business and business is looking up after today. Price always follows but not always in the way we hope.
 
  • Like
  • Fire
  • Love
Reactions: 24 users

Taproot

Regular

Foundries Prepare For Battle At 22nm​

from 2018

But choosing one 22nm technology from a given foundry may be far different than 22nm at a different foundry. There are three different versions of 22nm being rolled out by different foundries:

  • TSMC and UMC are developing a 22nm planar bulk CMOS process.
  • GlobalFoundries is gearing up a 22nm planar FD-SOI technology.
  • Intel is pushing a low-power 22nm finFET technology.

This article may answer a few questions in the the context of today's press release.

"The AKD1500 reference chip using GlobalFoundries’ very low-leakage FD SOI platform, showcases the possibilities for intelligent sensors in edge AI.” Anil Mankar
An extract from the article.

FD-SOI
GlobalFoundries was the first player to enter the 22nm race. Three years ago the company introduced a 22nm FD-SOI technology. For some time, Samsung has offered 28nm FD-SOI with an 18nm version in the works.

In addition, GlobalFoundries is developing a 12nm planar version of FD-SOI, which is expected to appear in 2022. Generally, 22nm or 18nm FD-SOI doesn’t compete with 16nm/14nm finFETs, and they serve different markets with little overlap.

FD-SOI uses a specialized SOI wafer, which integrates a thin insulating layer (20 to 25nm thick) in the substrate. This layer isolates the transistor from the substrate, thereby blocking the leakage in the device.

FD-SOI also is based on a planar, fully depleted architecture. “This essentially eliminates the random dopant fluctuation, providing superior mismatch and electrostatics to improve sub-threshold slope,” GlobalFoundries’ Schaeffer said.

GlobalFoundries’ 22nm FD-SOI technology, called 22FDX, incorporates high-k/metal-gate with silicon-germanium in the channel. It provides 30% higher performance and 45% lower power compared to 28nm. It was production-qualified in early 2017.

Recently, GlobalFoundries added more capabilities to the mix. “Sub-6GHz RF, mmWave, ultra-low leakage and ultra-low power extensions have all been qualified,” Schaeffer said.

What makes FD-SOI attractive are two features—low-power and body bias. It enables drive currents of 910μA/μm (856μA/μm) at 0.8 volts, with voltage operations down to 0.4 volts.

“Body bias is the ability to fully control the threshold voltage (Vth) of the transistors dynamically by polarizing the back gate of the transistor. Vth—which was a parameter determinable only by process through complex doping techniques—is now programmable dynamically through software,” said Manuel Sellier, product marketing manager at Soitec. “Designers can use this feature to dynamically manage the leakage in their circuit, and also to compensate static (process) and dynamic variations (temperature, voltage, and aging) efficiently. The result is a 4X to 7X energy efficiency gain at ultra-low power.”

FD-SOI also supports forward body biasing. When polarization of the substrate is positive, the transistor can be switched faster, according to STMicroelectronics.

FD-SOI, however, has three drawbacks—cost, ecosystem and adoption. For years, FD-SOI has had limited adoption. Intel, TSMC, UMC and others have never adopted FD-SOI, saying bulk CMOS enables high-performance devices at better costs. For example, an SOI wafer sells from $370 to $400 each, compared to $100 to $120 for a bulk CMOS wafer.

But FD-SOI does have a lower mask count, which compensates for the wafer cost. FD-SOI has 22 to 24 mask steps, while a comparable bulk CMOS process has 27 to 29 mask steps, according to IBS.

FD-SOI is closing the gap, too. “We are now looking at what we view as the limit of bulk CMOS,” IBS’ Jones said. “Transistor costs for 22nm FD-SOI are within 5% of the transistor costs for 22nm HKMG (high-k/metal-gate). 22nm FD SOI gives 30% to 50% lower power consumption compared to 22nm HKMG, which is important for wearable and IoT devices.”

The FD-SOI community, however, lags in terms of the EDA/IP ecosystem. “The IP ecosystem for 22nm FD-SOI is strengthening, but 22nm HKMG bulk CMOS has a broader IP ecosystem,” Jones said.

The tide is turning. Cadence, Mentor and Synopsys have been certified for various EDA tools for GlobalFoundries’ FD-SOI technology.

“There are some unique capabilities for RF, for example, with integrated FD-SOI, which are very hard to equal in other ways,” said Wally Rhines, president and CEO of Mentor.

FD-SOI has other advantages. “While the finFET gives you near zero leakage, you still have dynamic power. One of the advantages of FD-SOI is dynamic power. If you can reduce the voltage from one volt down to 0.6, that’s a 65% reduction in power. FD-SOI has some advantages in being able to dynamically alter the power versus the performance balance,” Rhines said.
 
  • Like
  • Fire
Reactions: 25 users

Kachoo

Regular
There hasn't been much talk about some of the finer points.
One I particularly like,
We expect our next

reference chips (plural) to be delivered in Q2 of this year.
Well maybe it's just that they will tape more then one chip. I would not read too much in to the wording. If I'm wrong then it's better for my pocket lol.
 
  • Like
Reactions: 7 users

Kachoo

Regular
After reading the release again and checking in on past news I'm satisfied with the companies progress...

Taping out 1500 is excellent news. You know the more foundries that produce our chip and have our IP will really benifit us in the long run.

Think of it this way some customers prefer to use GL not TSCS and so forth. We will be hitting more customers potentially.

1.2 million receipts from customers is okay in my books. I look forward to February's year end report.
 
  • Like
  • Fire
  • Love
Reactions: 30 users
Top Bottom